SEARCH

検索詳細
Last Updated :2024/04/12

大田 晃生

理学部
准教授

連絡先: a_ohta@fukuoka-u.ac.jp

研究者情報

■ 学位
  • 学士(工学), 広島大学
  • 修士(工学), 広島大学
  • 博士(工学), 広島大学
■ 研究分野
  • ナノテク・材料, 薄膜、表面界面物性

経歴

■ 経歴
  • 2023年04月 - 現在
    福岡大学, 理学部 物理科学科, 准教授
  • 2020年04月 - 2023年03月
    国立大学法人東海国立大学機構, 名古屋大学 大学院工学研究科 電子工学専攻, 助教
  • 2017年12月 - 2020年03月
    名古屋大学, 大学院工学研究科 電子工学専攻, 助教
  • 2017年04月 - 2017年11月
    名古屋大学, 大学院工学研究科 電子工学専攻, 特任助教
  • 2015年05月 - 2017年03月
    名古屋大学, 大学院工学研究科 量子工学専攻, 特任助教
  • 2013年10月 - 2015年04月
    名古屋大学, 大学院工学研究科, 中核的研究機関研究員
  • 2009年04月 - 2013年09月
    広島大学, 大学院先端物質科学研究科, 研究員
  • 2007年04月 - 2009年03月
    独立行政法人日本学術振興会, 特別研究員

研究活動情報

■ 論文
  • Alignment control of self-assembling Si quantum dots
    Yuki Imai; Ryoya Tsuji; Katsunori Makihara; Noriyuki Taoka; Akio Ohta; Seiichi Miyazaki
    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2023年08月
  • Layer transfer of ultrathin Ge crystal segregated on Al/Ge(111) structure
    Keigo Matsushita; Akio Ohta; Shigehisa Shibayama; Tomoharu Tokunaga; Noriyuki Taoka; Katsunori Makihara; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2023年06月
  • Formation of ultra-thin NiGe film with single crystalline phase and smooth surface
    Shunsuke Nishimura; Noriyuki Taoka; Akio Ohta; Katsunori Makihara; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2023年04月
  • Evaluation of chemical structure and Si segregation of Al/Si(111)
    Taiki Sakai; Akio Ohta; Keigo Matsushita; Noriyuki Taoka; Katsunori Makihara; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2023年04月
  • Effects of Cl passivation on Al2O3/GaN interface properties
    Taisei Nagai; Noriyuki Taoka; Akio Ohta; Katsunori Makihara; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2023年01月
  • Study on Electron Emission from Phosphorus d-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures
    K. Makihara; T. Takemoto; S. Obayashi; A. Ohta; N. Taoka; S. Miyazaki
    IEICE TRANSACTIONS on Electronics, 2022年10月, 査読有り
  • Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots
    J. Wu; K. Makihara; H. Zhang; H. Furuhata; N. Taoka; A. Ohta; S. Miyazaki
    IEICE TRANSACTIONS on Electronics, 2022年10月, 査読有り
  • Impact of substrate heating during Al deposition and post annealing on surface morphology, Al crystallinity, and Ge segregation in Al/Ge(111) structure
    Matsushita Keigo; Ohta Akio; Taoka Noriyuki; Hayashi Shohei; Makihara Katsunori; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2022年07月01日
  • Characterization of electronic charged states of high density self-aligned Si-based quantum dots evaluated with AFM/Kelvin probe technique
    Imai Yuki; Makihara Katsunori; Taoka Noriyuki; Ohta Akio; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2022年06月01日
  • Study on silicidation reaction of Fe nanodots with SiH4
    Furuhata Hiroshi; Makihara Katsunori; Shimura Yosuke; Fujimori Shuntaro; Imai Yuki; Ohta Akio; Taoka Noriyuki; Miyazaki Seiichi
    APPLIED PHYSICS EXPRESS, 2022年05月01日
  • Crystal structure change in multilayer GeH flakes by hydrogen desorption under ultrahigh vacuum environments
    Itoh Mai; Araidai Masaaki; Ohta Akio; Nakatsuka Osamu; Kurosawa Masashi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2022年05月01日
  • Segregation control for ultrathin Ge layer in Al/Ge(111) system
    Ohta Akio; Kobayashi Masato; Taoka Noriyuki; Ikeda Mistuhisa; Makihara Katsunori; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2022年01月01日
  • Effect of substrate temperature on plasma-enhanced self-assembling formation of high-density FePt nanodots
    Honda Shunsuke; Makihara Katsunori; Taoka Noriyuki; Furuhata Hiroshi; Ohta Akio; Oshima Daiki; Kato Takeshi; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2022年01月01日
  • Correlation between structures and vibration properties of germanene grown by Ge segregation
    Mizuno Shogo; Ohta Akio; Suzuki Toshiaki; Kageshima Hiroyuki; Yuhara Junji; Hibino Hiroki
    APPLIED PHYSICS EXPRESS, 2021年12月01日
  • Single germanene phase formed by segregation through Al(111) thin films on Ge(111)
    J. Yuhara; H. Muto; M. Araidai; M. Kobayashi; A. Ohta; S. Miyazaki; S. Takakura; M. Nakatake; G. L. Lay
    2D Materials, 2021年10月, 査読有り
  • Epitaxial growth of massively parallel germanium nanoribbons by segregation through Ag(110) thin films on Ge(110)
    Yuhara Junji; Shimazu Hiroki; Kobayashi Masato; Ohta Akio; Miyazaki Seiichi; Takakura Sho-ichi; Nakatake Masashi; Le Lay Guy
    APPLIED SURFACE SCIENCE, 2021年06月01日, 査読有り
  • Surface flattening and Ge crystalline segregation of Ag/Ge structure by thermal anneal
    Ohta Akio; Yamada Kenzo; Sugawa Hibiki; Taoka Noriyuki; Ikeda Mitsuhisa; Makihara Katsunori; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2021年05月01日, 査読有り
    筆頭著者
  • Energy band diagram for SiO2/Si system as evaluated from UPS analysis under vacuum ultraviolet with variable incident photon energy
    Ohta Akio; Imagawa Takuya; Taoka Noriyuki; Ikeda Mitsuhisa; Makihara Katsunori; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2021年01月01日
    筆頭著者
  • Effect of B-doping on photoluminescence properties of Si quantum dots with Ge core
    Makihara Katsunori; Fujimori Shuntaro; Ikeda Mitsuhisa; Ohta Akio; Miyazaki Seiichi
    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2020年12月, 査読有り
  • Characterization of photoluminescence from Si quantum dots with B delta-doped Ge core
    Maehara Takuya; Fujimori Shuntaro; Ikeda Mitsuhisa; Ohta Akio; Makihara Katsunori; Miyazaki Seiichi
    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2020年11月15日, 査読有り
  • Hydrogen desorption from silicane and germanane crystals: Toward creation of free-standing monolayer silicene and germanene
    Araidai Masaaki; Itoh Mai; Kurosawa Masashi; Ohta Akio; Shiraishi Kenji
    JOURNAL OF APPLIED PHYSICS, 2020年09月28日
  • Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode
    T. Niibayashi; T. Takemoto; K. Makihara; A. Ohta; M. Ikeda; S. Miyazaki
    Electrochemical Society (ECS) Transaction, 2020年09月, 査読有り
  • Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe
    J. Wu; H. Zhang; H. Furuhata; K. Makihara; M. Ikeda; A. Ohta; S. Miyazak
    Electrochemical Society (ECS) Transaction, 2020年09月, 査読有り
  • Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing
    H. Sugawa; A. Ohta; M. Kobayashi; N. Taoka; M. Ikeda; K. Makihara; S. Miyazaki
    Electrochemical Society (ECS) Transaction, 2020年09月, 査読有り
  • Continuous Growth of Germanene and Stanene Lateral Heterostructures
    Tsuyoshi Ogikubo; Hiroki Shimazu; Yuya Fujii; Koichi Ito; Akio Ohta; Masaaki Araidai; Masashi Kurosawa; Guy Le Lay; Junji Yuhara
    ADVANCED MATERIALS INTERFACES, 2020年05月
  • Formation of ultrathin segregated-Ge crystal on Al/Ge(111) surface
    Masato Kobayashi; Akio Ohta; Masashi Kurosawa; Masaaki Araidai; Noriyuki Taoka; Tomohiro Simizu; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2020年04月01日, 査読有り
  • Impact of surface pre-treatment on Pt-nanodot formation induced by remote H-2-plasma exposure
    Fujimori Shuntaro; Makihara Katsunori; Ikeda Mitsuhisa; Ohta Akio; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2019年08月01日
  • Comparative study of photoluminescence properties obtained from SiO2/GaN and Al2O3/GaN structures
    Takada Noriharu; Taoka Noriyuki; Ohta Akio; Yamamoto Taishi; Nguyen Xuan Truyen; Yamada Hisashi; Takahashi Tokio; Ikeda Mitsuhisa; Makihara Katsunori; Shimizu Mitsuaki; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2019年08月01日
  • Characterization of Electron Field Emission from Multiple-Stacking Si-Based Quantum Dots
    Futamura Yuto; Makihara Katsunori; Ohta Akio; Ikeda Mitsuhisa; Miyazaki Seiichi
    IEICE TRANSACTIONS ON ELECTRONICS, 2019年06月
  • Impact of remote plasma oxidation of a GaN surface on photoluminescence properties
    Takada Noriharu; Taoka Noriyuki; Yamamoto Taishi; Ohta Akio; Nguyen Xuan Truyen; Yamada Hisashi; Takahashi Tokio; Ikeda Mitsuhisa; Makihara Katsunori; Shimizu Mitsuaki; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2019年06月01日
  • Evaluation of the potential distribution in a multiple stacked Si quantum dots structure by hard X-ray photoelectron spectroscopy
    Futamura Yuto; Nakashima Yuta; Ohta Akio; Ikeda Mitsuhisa; Makihara Katsunori; Miyazaki Seiichi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2019年02月
  • Characterization of electron charging and transport properties of Si-QDs with phosphorus doped Ge core
    Nagai Ryo; Yamada Kentaro; Fujimori Shuntaro; Ikeda Mitsuhisa; Makihara Katsunori; Ohta Akio; Miyazaki Seiichi
    SEMICONDUCTOR SCIENCE AND TECHNOLOGY, 2018年12月
  • Local Structure of High Performance TiOxPassivating Layer Revealed by Electron Energy Loss Spectroscopy
    T. Mochizuki; K. Gotoh; A. Ohta; Y. Kurokawa; S. Miyazaki; T. Yamamoto; N. Usami
    2018 IEEE 7th WCPEC Proceeding, 2018年11月29日, 査読有り
  • Germanene Epitaxial Growth by Segregation through Ag(111) Thin Films on Ge(111)
    Yuhara, Junji; Shimazu, Hiroki; Ito, Kouichi; Ohta, Akio; Araidai, Masaaki; Kurosawa, Masashi; Nakatake, Masashi; Le Lay, Guy
    ACS NANO, 2018年11月
  • Activation mechanism of TiOx passivating layer on crystalline Si
    Mochizuki, Takeya; Gotoh, Kazuhiro; Ohta, Akio; Ogura, Shohei; Kurokawa, Yasuyoshi; Miyazaki, Seiichi; Fukutani, Katsuyuki; Usami, Noritaka
    APPLIED PHYSICS EXPRESS, 2018年10月
  • Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface
    Akio Ohta; Nguyen Xuan Truyen; Nobuyuki Fujimura; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年06月01日
  • Evaluation of resistive switching properties of Si-rich oxide embedded with Ti nanodots by applying constant voltage and current
    Akio Ohta; Yusuke Kato; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年06月01日
  • Low-temperature formation of Ga-oxide/GaN interface with remote oxygen plasma and its interface properties
    Taishi Yamamoto; Noriyuki Taoka; Akio Ohta; Nguyen Xuan Truyen; Hisashi Yamada; Tokio Takahashi; Mitsuhisa Ikeda; Katsunori Makihara; Mitsuaki Shimizu; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年06月01日
  • Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He
    Nguyen Xuan Truyen; Noriyuki Taoka; Akio Ohta; Katsunori Makihara; Hisashi Yamada; Tokio Takahashi; Mitsuhisa Ikeda; Mitsuaki Shimizu; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年06月01日
  • Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma
    Taishi Yamamoto; Noriyuki Taoka; Akio Ohta; Nguyen Xuan Truyen; Hisashi Yamada; Tokio Takahashi; Mitsuhisa Ikeda; Katsunori Makihara; Osamu Nakatsuka; Mitsuaki Shimizu; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年06月01日
  • Growth of two-dimensional Ge crystal by annealing of heteroepitaxial Ag/Ge(111) under N2 ambient
    Ito Koichi; Ohta Akio; Kurosawa Masashi; Araidai Masaaki; Ikeda Mitsuhisa; Makihara Katsunori; Miyazaki Seiichi
    Japanese Journal of Applied Physics, 2018年05月24日
  • High thermal stability of abrupt SiO2/GaN interface with low interface state density
    Nguyen Xuan Truyen; Noriyuki Taoka; Akio Ohta; Katsunori Makihara; Hisashi Yamada; Tokio Takahashi; Mitsuhisa Ikeda; Mitsuaki Shimizu; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年04月01日, 査読有り
  • Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis
    Nobuyuki Fujimura; Akio Ohta; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年04月01日
  • Segregated SiGe ultrathin layer formation and surface planarization on epitaxial Ag(111) by annealing of Ag/SiGe(111) with different Ge/(Si + Ge) compositions
    Ito Koichi; Ohta Akio; Kurosawa Masashi; Araidai Masaaki; Ikeda Mitsuhisa; Makihara Katsunori; Miyazaki Seiichi
    Japanese Journal of Applied Physics, 2018年03月09日
  • Formation of Mn-germanide nanodots on ultrathin SiO2 induced by remote hydrogen plasma
    Yinghui Wen; Katsunori Makihara; Akio Ohta; Mitsuhisa Ikeda; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年01月01日
  • Electroluminescence of superatom-like Ge-core/Si-shell quantum dots by alternate field-effect-induced carrier injection
    Katsunori Makihara; Mitsuhisa Ikeda; Nobuyuki Fujimura; Kentaro Yamada; Akio Ohta; Seiichi Miyazaki
    Applied Physics Express, 2018年01月01日
  • Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements
    Nguyen Xuan Truyen; Akio Ohta; Katsunori Makihara; Mitsuhisa Ikeda; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2018年01月01日
  • High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots
    Zhang Hai; Makihara Katsunori; Ikeda Mitsuhisa; Ohta Akio; Miyazaki Seiichi
    SIGE, GE, AND RELATED COMPOUNDS: MATERIALS, PROCESSING, AND DEVICES 8, 2018年, 査読有り
  • Impact of phosphorus doping to multiple-stacked Si quantum dots on electron emission properties
    Daichi Takeuchi; Katsunori Makihara; Akio Ohta; Mitsuhisa Ikeda; Seiichi Miyazaki
    MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING, 2017年11月
  • First-principles study on adsorption structure and electronic state of stanene on alpha-alumina surface
    Masaaki Araidai; Masashi Kurosawa; Akio Ohta; Kenji Shiraishi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2017年09月
  • Characterization of Interfacial Dipoles at Dielectric Stacks by XPS Analysis
    S. Miyazaki; A. Ohta; N. Fujimura
    Electrochemical Society Transaction, 2017年09月
  • Magnetoelectronic transport of double stack FePt nanodots
    Katsunori Makihara; Taiga Kawase; Akio Ohta; Mitsuhisa Ikeda; Seiichi Miyazaki
    APPLIED PHYSICS LETTERS, 2017年07月
  • Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation
    Yimin Lu; Katsunori Makihara; Daichi Takeuchi; Mitsuhisa Ikeda; Akio Ohta; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2017年06月
  • Potential changes and chemical bonding features for Si-MOS structure as evaluated from HAXPES analysis
    Akio Ohta; Hideki Murakami; Mitsuhisa Ikeda; Katsunori Makihara; Eiji Ikenaga; Seiichi Miyazaki
    MICROELECTRONIC ENGINEERING, 2017年06月
  • Evaluation of energy distribution of filled defects of Si oxide thin films from total photoelectron yield spectroscopy
    Akio Ohta; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    MICROELECTRONIC ENGINEERING, 2017年06月
  • Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors
    Yusuke Kato; Akio Ohta; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    IEICE TRANSACTIONS ON ELECTRONICS, 2017年05月
  • Photoemission Study of Gate dielectrics on Gallim Nitride
    S. Miyazaki; N. Truyen; A. Ohta; T. Yamamoto
    Electrochemical Society Transaction, 2017年05月
  • Photoemission study on electrical dipole at SiO2/Si and HfO2/SiO2 interfaces
    Nobuyuki Fujimura; Akio Ohta; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2017年04月
  • Processing and characterization of Si/Ge quantum dots
    S. Miyazaki; K. Makihara; A. Ohta; M. Ikeda
    Technical Digest - International Electron Devices Meeting, IEDM, 2017年01月31日, 査読有り
  • High-density formation of Ta nanodot induced by remote hydrogen plasma
    Yaping Wang; Daichi Takeuchi; Akio Ohta; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2017年01月
  • Effects of remote hydrogen plasma on chemical bonding features and electronic states of 4H-SiC(0001) surface
    Nguyen Xuan Truyen; Akio Ohta; Katsunori Makihara; Mitsuhisa Ikeda; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2017年01月
  • Nano spin-diodes using FePt-NDs with huge on/off current ratio at room temperature
    Katsunori Makihara; Takeshi Kato; Yuuki Kabeya; Yusuke Mitsuyuki; Akio Ohta; Daiki Oshima; Satoshi Iwata; Yudi Darma; Mitsuhisa Ikeda; Seiichi Miyazaki
    SCIENTIFIC REPORTS, 2016年09月, 査読有り
  • Surface-segregated Si and Ge ultrathin films formed by Ag-induced layer exchange process
    Kurosawa Masashi; Ohta Akio; Araidai Masaaki; Zaima Shigeaki
    Japanese Journal of Applied Physics, 2016年07月05日, 査読有り
  • Evaluation of Valence Band Top and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS
    N. Fujimura; A. Ohta; K. Makihara; S. Miyazaki
    Japanese Journal of Applied Physics, 2016年07月, 査読有り
  • Impact of Embedded Mn Nanodots on Resistive Switching Characteristics of Si-rich Oxides as Measured in Ni-Electrodes MIM Diodes
    T. Arai; A. Ohta; K. Makihara; S. Miyazaki
    Japanese Journal of Applied Physics, 2016年05月, 査読有り
  • Study on electroluminescence from multiply-stacking valency controlled Si quantum dots
    Takahisa Yamada; Katsunori Makihara; Akio Ohta; Mitsuhisa Ikeda; Seiichi Miyazaki
    THIN SOLID FILMS, 2016年03月, 査読有り
  • Evaluation of field emission properties from multiple-stacked Si quantum dots
    Daichi Takeuchi; Katsunori Makihara; Akio Ohta; Mitsuhisa Ikeda; Seiichi Miyazaki
    THIN SOLID FILMS, 2016年03月, 査読有り
  • Bonding and Energy Alignment at Metal/TiO2 interfaces: A Density Functional Theory Study
    H. Chen; P. Li; N. Umezawa; H. Abe; J. Ye; K. Shiraishi; A. Ohta; S. Miyazaki
    The Journal of Physical Chemistry, 2016年02月, 査読有り
  • Evaluation of dielectric function of thermally-grown SiO2 and GeO2 from energy loss signals for XPS core-line photoelectrons
    Taishi Yamamoto; Akio Ohta; Mitsuhisa Ikeda; Katsunori Makihara; Seiichi Miyazaki
    ECS Transactions, 2016年, 査読有り
  • Effect of Ge core size on photoluminescence from Si quantum dots with Ge core
    Kentaro Yamada; Keigo Kondo; Katsunori Makihara; Mitsuhisa Ikeda; Akio Ohta; Seiichi Miyazaki
    ECS Transactions, 2016年, 査読有り
  • Formation and Characterization of High Density FeSi Nanodots on SiO2 Induced by Remote H2 Plasma
    H. Zhang; K. Makihara; A. Ohta; M. Ikeda; S. Miyazaki
    Japanese Journal of Applied Physics, 2015年12月, 査読有り
  • The Interface Analysis of GaN Directly Grown on 0º off 6H-SiC
    Z. Sun; A. Ohta; S. Miyazaki; K. Nagamatsu; M. Olsson; Z. Ye; M. Deki; Y. Honda; H. Amano
    Japanese Journal of Applied Physics, 2015年12月, 査読有り
  • High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of their Magnetic Properties
    R. Fukuoka; K. Makihara; H. Zhang; A. Ohta; T. Kato; S. Iwata; M. Ikeda; S. Miyazaki
    Transactions of the Materials Research Society of Japan, 2015年12月, 査読有り
  • Increase in the work function of W/WO3 by helium plasma irradiation
    S. Kajita; A. Ohta; T. Ishida; K. Makihara; T. Yoshida; N. Ohno
    Japanese Journal of Applied Physics, 2015年11月, 査読有り
  • High-Resolution Photoemission Study of High-k Dielectric Bilayer Stack on Ge(100)
    S. Miyazaki; A. Ohta
    Electrochemical Society Transaction, 2015年10月, 査読有り
  • Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-grown SiO2/4H-SiC Structure
    H. Watanabe; A. Ohta; K. Makihara; S. Miyazaki
    Electrochemical Society Transaction, 2015年10月, 査読有り
  • Progress in determination method for ultrathin Si-based oxide bandgaps from analysis of energy loss signals for photoelectrons
    Akio Ohta; Hideki Murakami; Katsunori Makihara; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2015年06月, 査読有り
  • Electronic Defect States in Thermally-grown SiO2/4H-SiC Structure Measured by Total Photoelectron Yield Spectroscopy
    A. Ohta; K. Makihara; S. Miyazaki
    Microelectronic Engineering, 2015年05月, 査読有り
  • Resistance-Switching Characteristics of Si-rich Oxide Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements
    Akio Ohta; Chong Liu; Takashi Arai; Daichi Takeuchi; Hai Zhang; Katsunori Makihara; Seiichi Miyazaki
    IEICE TRANSACTIONS ON ELECTRONICS, 2015年05月, 査読有り
  • Resistive switching characteristics of Si-rich oxides with embedding Ti nanodots
    Yusuke Kato; Takashi Arai; Akio Ohta; Katsunori Makihara; Seiichi Miyazaki
    ECS Transactions, 2015年, 査読有り
  • Properties of Al Ohmic Contacts to n-type 4H-SiC employing a Phosphorus-Doped and Crystallized Amorphous-Silicon Interlayer
    Hiroaki Hanafusa; Akio Ohta; Ryuuhei Ashihara; Keisuke Maruyama; Tsubasa Mizuno; Shohei Hayashi; Hideki Murakami; Seiichiro Higashi
    SILICON CARBIDE AND RELATED MATERIALS 2013, PTS 1 AND 2, 2014年, 査読有り
  • Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack
    Akio Ohta; Hideki Murakami; Kuniaki Hashimoto; Katsunori Makihara; Seiichi Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 6: MATERIALS, PROCESSING, AND DEVICES, 2014年, 査読有り
  • Pre-Amorphization and Low-Temperature Implantation for Efficient Activation of Implanted As in Ge(100)
    Hideki Murakami; Shinya Hamada; Takahiro Ono; Kuniaki Hashimoto; Akio Ohta; Hiroaki Hanafusa; Seiichiro Higashi; Seiichi Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 6: MATERIALS, PROCESSING, AND DEVICES, 2014年, 査読有り
  • Characterization of Electron Emission from High Density Self-aligned Si-based Quantum Dots by Conducting-Probe Atomic Force Microscopy
    Daichi Takeuchi; Katsunori Makihara; Akio Ohta; Mitsuhisa Ikeda; Seiichi Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 6: MATERIALS, PROCESSING, AND DEVICES, 2014年, 査読有り
  • Photoluminescence Study of Si Quantum Dots with Ge Core
    Katsunori Makihara; Keigo Kondo; Mitsuhisa Ikeda; Akio Ohta; Seiichi Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 6: MATERIALS, PROCESSING, AND DEVICES, 2014年, 査読有り
  • Characterization of resistive switching behaviors of RF sputtered Si oxide resistive random access memories with Ti-based electrodes
    Akio Ohta; Motoki Fukusima; Katsunori Makihara; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    Japanese Journal of Applied Physics, 2013年11月, 査読有り
  • Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-electrode MIM Diodes
    A. Ohta; K. Makihara; M. Fukusima; H. Murakami; S. Higashi; S. Miyazaki
    Electrochemical Society Transaction, 2013年10月, 査読有り
  • Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior
    Akio Ohta; Katsunori Makihara; Mitsuhisa Ikeda; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    IEICE TRANSACTIONS ON ELECTRONICS, 2013年05月, 査読有り
  • X-ray Photoemission Study of SiO2/Si/Si0.55Ge0.45/Si Heterostructures
    A. Ohta; K. Makihara; S. Miyazaki; M. Sakuraba; J. Murota
    IEICE TRANSACTIONS on Electronics, 2013年05月
  • Control of Interfacial Reaction of HfO2/Ge Structure by Insertion of Ta Oxide Layer
    Kuniaki Hashimoto; Akio Ohta; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    IEICE TRANSACTIONS ON ELECTRONICS, 2013年05月, 査読有り
  • Characterization of Resistive Switching of Pt/Si-Rich Oxide/TiN System
    Motoki Fukusima; Akio Ohta; Katsunori Makihara; Seiichi Miyazaki
    IEICE TRANSACTIONS ON ELECTRONICS, 2013年05月, 査読有り
  • XPS Study of Energy Band Alignment between Hf-La Oxides and Si(100)
    A. Ohta; H. Murakami; S. Higashi; S. Miyazaki
    Transactions of the Materials Research Society of Japan, 2013年04月, 査読有り
  • High thermal stability of abrupt SiO2/GaN interface with low interface state density
    N. Truyen; N. Taoka; A. Ohta; K. Makihara; H. Yamada; T. Takahashi; M. Ikeda; M. Shimizu; S. Miyazaki
    Japanese Journal of Applied Physics, 2013年03月
  • Determination of Energy Band Alignment in Ultrathin Hf-based Oxide/Pt System
    A. Ohta; H. Murakami; S. Higashi; S. Miyazaki
    15TH INTERNATIONAL CONFERENCE ON THIN FILMS (ICTF-15), 2013年, 査読有り
  • Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods
    K. Mishima; H. Murakami; A. Ohta; S. K. Sahari; T. Fujioka; S. Higashi; S. Miyazaki
    15TH INTERNATIONAL CONFERENCE ON THIN FILMS (ICTF-15), 2013年, 査読有り
  • Kinetics of thermally oxidation of Ge(100) surface
    S. K. Sahari; A. Ohta; M. Matsui; K. Mishima; H. Murakami; S. Higashi; S. Miyazaki
    Journal of Physics: Conference Series, 2013年, 査読有り
  • Evaluation of Chemical Bonding Features and Resistance Switching Behaviors of Ultrathin Si Oxide Dielectric Sandwiched Between Pt Electrodes
    Akio Ohta; Yuta Goto; Shingo Nishigaki; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2012年06月, 査読有り
  • Characterization of Resistance-Switching of Si Oxide Dielectrics Prepared by RF Sputtering
    Akio Ohta; Yuta Goto; Shingo Nishigaki; Guobin Wei; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    IEICE TRANSACTIONS ON ELECTRONICS, 2012年05月, 査読有り
  • Layer transfer and simultaneous activation of phosphorus atoms in silicon films by near-infrared semiconductor diode laser irradiation
    Yoshitaka Kobayashi; Kohei Sakaike; Shogo Nakamura; Mitsuhisa Ikeda; Akio Ohta; Seiichiro Higashi
    Materials Research Society Symposium Proceedings, 2012年, 査読有り
  • Control of Schottky Barrier Height at Al/p-Ge Junctions by Ultrathin Layer Insertion
    A. Ohta; M. Matsui; H. Murakami; S. Higashi; S. Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 5: MATERIALS, PROCESSING, AND DEVICES, 2012年, 査読有り
  • Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes
    Katsunori Makihara; Motoki Fukushima; Akio Ohta; Mitsuhisa Ikeda; Seiichi Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 5: MATERIALS, PROCESSING, AND DEVICES, 2012年, 査読有り
  • X-ray Photoelectron Spectroscopy Study of Interfacial Reactions between Metal and Ultrathin Ge Oxide
    Akio Ohta; Tomohiro Fujioka; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2011年10月, 査読有り
  • Evaluation of Chemical Structure and Resistance Switching Characteristics of Undoped Titanium Oxide and Titanium-Yttrium Mixed Oxide
    Akio Ohta; Yuta Goto; Guobin Wei; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2011年10月, 査読有り
  • Characterization of chemical bonding features at metal/GeO2 Interfaces by X-ray photoelectron spectroscopy
    Masafumi Matsui; Hideki Murakami; Tomohiro Fujioka; Akio Ohta; Seiichiro Higashi; Seiichi Miyazaki
    MICROELECTRONIC ENGINEERING, 2011年07月, 査読有り
  • Impact of insertion of ultrathin TaOx, layer at the Pt/TiO2 interface on resistive switching characteristics
    Guobin Wei; Hideki Murakami; Tomohiro Fujioka; Akio Ohta; Yuta Goto; Seiichiro Higashi; Seiichi Miyazaki
    MICROELECTRONIC ENGINEERING, 2011年07月, 査読有り
  • The Impact of Y Addition into TiO2 on Electronic States and Resistive Switching Characteristics
    Akio Ohta; Yuta Goto; Mohd Fairuz Kazalman; Guobin Wei; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2011年06月, 査読有り
  • High-density formation of Ge quantum dots on SiO2
    Katsunori Makihara; Mitsuhisa Ikeda; Akio Ohta; Shotaro Takeuchi; Yosuke Shimura; Shigeaki Zaima; Seiichi Miyazaki
    SOLID-STATE ELECTRONICS, 2011年06月, 査読有り
  • Impact of Annealing Ambience on Resistive Switching in Pt/TiO2/Pt Structure
    Guobin Wei; Yuta Goto; Akio Ohta; Katsunori Makihara; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    IEICE TRANSACTIONS ON ELECTRONICS, 2011年05月, 査読有り
  • Activation of As Atoms in Ultrashallow Junction during Milli- and Microsecond Annealing Induced by Thermal-Plasma-Jet Irradiation
    Kazuya Matsumoto; Akio Ohta; Seiichi Miyazaki; Seiichiro Higashi
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2011年04月, 査読有り
  • Native Oxidation Growth on Ge(111) and (100) Surfaces
    Siti Kudnie Sahari; Hideki Murakami; Tomohiro Fujioka; Tatsuya Bando; Akio Ohta; Katsunori Makihara; Seiichiro Higashi; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2011年04月, 査読有り
  • Characterization of Mg diffusion into HfO2/SiO 2/Si(100) stacked structures and its impact on detect state densities
    Akio Ohta; Daisuke Kanme; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    IEICE Transactions on Electronics, 2011年, 査読有り
  • Electron and hole components of tunneling currents through an interfacial oxide-high-k gate stack in metal-oxide-semiconductor capacitors
    Fatimah A. Noor; Mikrajuddin Abdullah; Sukirno; Khairurrijal; Akio Ohta; Seiichi Miyazaki
    JOURNAL OF APPLIED PHYSICS, 2010年11月, 査読有り
  • Contribution of Carbon to Growth of Boron-Containing Cluster in Heavily Boron-Doped Silicon
    Hiroshi Itokawa; Akio Ohta; Mitsuhisa Ikeda; Ichiro Mizushima; Seiichi Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS, 2010年08月, 査読有り
  • Self-Align Formation of Si Quantum Dots
    K. Makihara; M. Ikeda; H. Deki; A. Ohta; S. Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 4: MATERIALS, PROCESSING, AND DEVICES, 2010年, 査読有り
  • Characterization of Interfaces between Chemically Cleaned or Thermally Oxidized Germanium and Metals
    Hideki Murakami; Tomohiro Fujioka; Akio Ohta; Tatsuya Bando; Seiichiro Higashi; Seiichi Miyazaki
    SIGE, GE, AND RELATED COMPOUNDS 4: MATERIALS, PROCESSING, AND DEVICES, 2010年, 査読有り
  • Characterization of interfacial reaction and chemical bonding features of LaOx/HfO2 stack structure formed on thermally-grown SiO2/Si(100)
    Akio Ohta; Daisuke Kanme; Hideki Murakami; Seiichiro Higashi; Seiichi Miyazaki
    MICROELECTRONIC ENGINEERING, 2009年07月, 査読有り
  • Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique
    K. Makihara; K. Shimanoe; M. Ikeda; A. Ohta; S. Higashi; S. Miyazaki
    Transactions of Materials Research Society of Japan, 2009年06月, 査読有り
  • Photoemission study of fully silicided Pd2Si gates with interface modification induced by dopants
    Takuji Hosoi; Akio Ohta; Seiichi Miyazaki; Hiroyuki Shiraishi; Kentaro Shibahara
    Applied Physics Letters, 2009年, 査読有り
  • Surface potential changes induced by physisorption of Si-tagged protein A on HF-last Si(100) and thermally grown SiO2 surfaces
    S. Mahboob; K. Makihara; A. Ohta; S. Higashi; Y. Hata; A. Kuroda; S. Miyazaki
    ECS Transactions, 2009年, 査読有り
  • The influence of defects and impurities on electrical properties of high high - k diele dielectrics trics
    J. Da̧browski; S. Miyazaki; S. Inumiya; G. Kozłowski; G. Lippert; G. Łu-Pina; Y. Nara; H. J. Müssig; A. Ohta; Y. Pei
    Materials Science Forum, 2009年, 査読有り
  • Interface properties and effective work function of Sb-predoped fully silicided NiSi gate
    Takuji Hosoi; Kosuke Sano; Akio Ohta; Katsunori Makihara; Hirotaka Kaku; Seiichi Miyazaki; Kentaro Shibahara
    SURFACE AND INTERFACE ANALYSIS, 2008年06月, 査読有り
  • Theoretical investigation of metal/dielectric interfaces-breakdown of schottky barrier limits
    Kenji Shiraishi; Takashi Nakayama; Takashi Nakaoka; Akio Ohta; Seiichi Miyazaki
    ECS Transactions, 2008年, 査読有り
  • Photoemission study of Metal/HfSiON gate stack
    S. Miyazaki; H. Yoshinaga; A. Ohta; Y. Akasaka; K. Shiraishi; K. Yamada; S. Inumiya; M. Kadoshima; Y. Nara
    ECS Transactions, 2008年, 査読有り
  • Performance improvement of HfAlOxN n-channel metal-oxide-semiconductor field-effect transistors by controlling the bonding configuration of nitrogen atoms coordinated to Hf atoms
    Kunihiko Iwamoto; Tomoaki Nishimura; Akio Ohta; Koji Tominaga; Toshihide Nabatame; Seiichi Miyazaki; Akira Toriumi
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 2007年12月, 査読有り
  • Characterization of chemical bonding features and defect state density in HfSiOxNy/SiO2 gate stack
    A. Ohta; Y. Munetaka; A. Tsugou; K. Makihara; H. Murakami; S. Higashi; S. Miyazaki; S. Inumiya; Y. Nara
    Microelectronic Engineering, 2007年10月, 査読有り
  • Theoretical studies on metal/high-k gate stacks
    Kenji Shiraishi; Yasushi Akasaka; Genji Nakamura; Takashi Nakayama; Seiichi Miyazaki; Heiji Watanabe; Akio Ohta; Kenji Ohmori; Toyohiro Chikyow; Yasuo Nara; Kikuo Yamabe; Keisaku Yamada
    ECS Transactions, 2007年, 査読有り
  • Theoretical studies on fermi level pining of Hf-based high-k gate stacks based on thermodynamics
    K. Shiraishi; Y. Akasaka; G. Nakamura; M. Kadoshima; H. Watanabe; A. Ohta; S. Miyazaki; K. Ohmori; T. Chikyow; K. Yamabe; Y. Nara; Y. Ohji; K. Yamada
    ECS Transactions, 2007年, 査読有り
  • Improvement in fermi-level pinning of p-MOS metal gate electrodes on HfSiON by employing Ru gate electrodes
    M. Kadoshima; Y. Sugita; K. Shiraishi; H. Watanabe; A. Ohta; S. Miyazaki; K. Nakajima; T. Chikyow; K. Yamada; T. Aminaka; E. Kurosawa; T. Matsuki; T. Aoyama; Y. Nara; Y. Ohji
    ECS Transactions, 2007年, 査読有り
  • Photoemission study of ultrathin GeO2/Ge heterostructures formed by UV-O3 oxidation
    Akio Ohta; Hiroshi Nakagawa; Hideki Murakami; Seiichirou Higashi; Seiichi Miyazaki
    e-Journal of Surface Science and Nanotechnology, 2006年02月10日, 査読有り
  • Photoemission study of ultrathin HfSiON/Si(100) systems
    A. Ohta; H. Nakagawa; H. Murakami; S. Higashi; S. Miyazaki; S. Inumiya; Y. Nara
    Transactions of the Materials Research Society of Japan, Vol 31, No 1, 2006年, 査読有り
  • Characterization of FUSI-PtSi formed on ultrathin HfO2/Si(100) by photoelectron spectroscopy
    Y. Munetaka; F. Takeno; A. Ohta; H. Murakami; S. Higashi; S. Miyazaki; M. Kadoshima; T. Nabatame
    Transactions of the Materials Research Society of Japan, Vol 31, No 1, 2006年, 査読有り
  • Influence of thermal annealing on defect states and chemical structures in ultrathin Al2O3/SiNx/poly-Si
    M. Taira; A. Ohta; H. Nakagawa; S. Miyazaki; K. Komeda; M. Horikawa; K. Koyama
    Transactions of the Materials Research Society of Japan, Vol 31, No 1, 2006年, 査読有り
  • Nitridation of Ge(100) surfaces by vacuum-ultra violet (VUV) irradiation in NH3 ambience
    H. Nakagawa; A. Ohta; M. Taira; H. Abe; H. Murakami; S. Higashi; S. Miyazaki
    Transactions of the Materials Research Society of Japan, Vol 31, No 1, 2006年, 査読有り
  • Impact of nitrogen incorporation into yttrium oxide on chemical bonding features and electrical properties
    Hiroyuki Abe; Hiroshi Nakagawa; Masahiro Taira; Akio Ohta; Seiichiro Higashi; Seiichi Miyazaki
    Transactions of the Materials Research Society of Japan, Vol 31, No 1, 2006年, 査読有り
  • Depth profiling of chemical and electronic structures and defects of ultrathin HfSiON on Si(100)
    S. Miyazaki; A. Ohta; S. Inumiya; Y. Nara; K. Yamada
    ECS Transactions, 2006年, 査読有り
  • Physics of metal/high-k interfaces
    Takashi Nakayama; Kenji Shiraishi; Seiichi Miyazaki; Yasushi Akasaka; Takashi Nakaoka; Kazuyoshi Torii; Akio Ohta; Parhat Ahmet; Kenji Ohmori; Naoto Umezawa; Heiji Watanabe; Toyohiro Chikyow; Yasuo Nara; Hiroshi Iwai; Keisaku Yamada
    ECS Transactions, 2006年, 査読有り
  • Characterization of Sb-Doped Fully-Silicided NiSi/SiO2/Si MOS Structure
    T. Hosoi; K. Sano; M. Hino; A. Ohta; K. Mikihara; H. Kaku; S. Miyazaki; K. Shibahara
    Abstracts of 2005 International Semiconductor Device Research Symposium (ISDRS2005, Bethesda, Maryland, USA), 2005年12月, 査読有り
  • Analysis of Leakage Current through Al/HfAlOx/SiONx/Si(100) MOS Capacitors
    S. Nagamachi; A. Ohta; F. Takeno; H. Nakagawa; H. Murakami; S. Miyazaki; T. Kawahara; K. Torii
    Transactions of the Materials Research Society of Japan, 2005年03月, 査読有り
  • Impact of Rapid Thermal Anneal on ALCVD-Al2O3/Si3N4/Si(100) Stack Structures -Photoelectron Spectroscopy
    F. Takeno; A. Ohta; S. Miyazaki; K. Komeda; M. Horikawa; K. Koyama
    Transactions of the Materials Research Society of Japan, 2005年03月, 査読有り
  • Impact of rapid thermal O-2 anneal on dielectric stack structures of hafnium aluminate and silicon dioxide formed on Si(100)
    A Ohta; H Nakagawa; H Murakami; S Higashi; T Kawahara; K Torii; S Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 2004年11月, 査読有り
  • Characterization of interfacial oxide layers in heterostructures of hafnium oxides formed on NH3-nitrided Si(100)
    H Nakagawa; A Ohta; F Takeno; S Nagamachi; H Murakami; S Higashi; S Miyazaki
    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 2004年11月, 査読有り
  • Photoelectron spectroscopy of ultrathin yttrium oxide films on Si(100)
    A Ohta; M Yamaoka; S Miyazaki
    MICROELECTRONIC ENGINEERING, 2004年04月, 査読有り
■ 共同研究・競争的資金等の研究課題
  • 絶縁性基板上のゲルマニウム二次元結晶の創製と電子物性の解明 (代表)
    日本学術振興会, 科学研究費助成事業, 基盤研究(B)
    2019年04月 - 現在
  • ゲルマニウム系二次元ハニカム結晶の自己組織化形成と結晶構造・電子状態制御 (代表)
    科学研究費助成事業, 挑戦的研究(萌芽)
    2018年06月 - 現在
  • Si-Ge系スーパーアトム構造のセルフアライン集積による光・電子物性制御 (分担)
    日本学術振興会, 科学研究費助成事業, 基盤研究(S)
    2015年07月 - 2019年03月
  • Si系酸化薄膜抵抗変化材料における欠陥分布の高感度計測および精密制御 (代表)
    日本学術振興会, 科学研究費助成事業, 若手研究(A)
    2015年04月 - 2018年03月
  • シリコン系二次元ハニカム結晶の創製と電子物性の解明 (代表)
    日本学術振興会, 科学研究費助成事業, 挑戦的萌芽研究
    2015年04月 - 2017年03月
  • 磁性合金ナノドットハイブリッド集積によるスピン物性制御と新機能メモリ応用 (分担)
    日本学術振興会, 科学研究費助成事業, 基盤研究(A)
    2015年04月 - 2015年06月
  • シリコン酸化薄膜を用いた微細抵抗変化型メモリの研究 (代表)
    日本学術振興会, 科学研究費助成事業, 若手研究(B)
    2013年04月 - 2015年03月
  • Ti系酸化膜を用いた抵抗変化型メモリの化学結合状態と抵抗変化現象の相関の解明 (代表)
    日本学術振興会, 科学研究費助成事業, 若手研究(スタートアップ)
    2009年04月 - 2011年03月
  • 次世代MOSトランジスタ用Hf系高誘電率ゲート絶縁膜の研究
    日本学術振興会, 科学研究費助成事業, 特別研究員奨励費
    2007年04月 - 2009年03月